Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard

Search list

[SCMLedKeyPS2_DK4

Description: ps2键盘源码,适用于标准ps2小键盘,方便快捷。欢迎下载。-ps2 keyboard source code for a small standard ps2 keyboard, convenient and quick. Welcome to download.
Platform: | Size: 43008 | Author: ps2 | Hits:

[VHDL-FPGA-Verilogverilog-PS2

Description: 在FPGA内,实现PS2键盘数据读取功能,verilog源代码-In the FPGA, achieving PS2 keyboard data read functions, verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[SCMps2

Description: 这是一个单片机应用中编写键盘代码时可以对比参考的,PS2接口的键盘对应的按键码,很实用的,有用到得朋友可以看看。-This is a microcontroller application can write code in contrast to the keyboard reference, PS2 keyboard interface, the corresponding key code, very practical and useful to have a friend take a look at.
Platform: | Size: 13312 | Author: 张坷达 | Hits:

[SCMkeyboard

Description: 开发目标:PS2键盘在ATmega16下的应用 开发环境:IAR 使用方法:将文件插入IAR工程,并在主程序中对硬件配制做相应修改-Development objectives: PS2 keyboard ATmega16 under the application development environment: IAR Usage: Copy the file into IAR works, and in the main program in the hardware to do the preparation of consequential amendments
Platform: | Size: 2048 | Author: Lee | Hits:

[SCMps2

Description: STC单片机与PC键盘PS2的驱动程序,调试通过,可取值键盘所有按键,根据需要自己确定是否连击。-STC MCU and PC Keyboard PS2 driver, debugging adopted, all the keyboard keys can be value, according to needs its own to determine whether the batter.
Platform: | Size: 2048 | Author: xuqinglei | Hits:

[SCMThe-MCU-Communication-standard-keyboard-PS2

Description: ,基于单片机开发。标准键盘PS2与单片机通信-The MCU Communication standard keyboard PS2
Platform: | Size: 63488 | Author: luo | Hits:

[SCMPS2

Description: 51单片机通过外部中断读取标准的PS2串行键盘,并在1602液晶上显示-51 MCU via an external interrupt to read a standard PS2 keyboard, serial, and in 1602 LCD display
Platform: | Size: 16384 | Author: ydp | Hits:

[SCMPS2

Description: AVR程序,扫描美式键盘,识别shift建有无按下,无显示小写,有显示大写-AVR procedures, scanning U.S. keyboard, identify whether or press the shift to build, no display lowercase, showing the upper
Platform: | Size: 2048 | Author: sky | Hits:

[VHDL-FPGA-VerilogVisio-schemat_blokowy_niezawodno____

Description: ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 38912 | Author: Mat | Hits:

[Embeded-SCM Developps2

Description: PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
Platform: | Size: 601088 | Author: 洪依 | Hits:

[Embeded-SCM Developps2

Description: PIC18F4520单片机,键盘PS2程序-PIC18F4520 microcontroller, keyboard PS2 procedures
Platform: | Size: 17408 | Author: 李云 | Hits:

[VHDL-FPGA-VerilogKbtestbench

Description: VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others.
Platform: | Size: 2048 | Author: hongwan | Hits:

[Embeded-SCM DevelopPS2KEY

Description: 51单片机模拟PS2键盘KEIL C51程序,单片机采用STC12C系列,代码注释详细,可直接使用。-51 MCU simulation PS2 Keyboard KEIL C51 program, microcontrollers STC12C series, code comment in detail, can be used directly.
Platform: | Size: 3072 | Author: jay | Hits:

[Driver Developkeyboard_vhdl

Description: ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component -ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component
Platform: | Size: 637952 | Author: arekk | Hits:

[VHDL-FPGA-VerilogPS2

Description: A PS2 core on SOPC.You can use it to control a mouse or a ATA keyboard.
Platform: | Size: 220160 | Author: lenam | Hits:

[SCMPS2-c51

Description: STC单片机,驱动ps/2的104台式机键盘.-STC microcontroller, driver ps/2 104 desktop keyboard.
Platform: | Size: 20480 | Author: 田红峰 | Hits:

[SCMPs2

Description: 51单片机的操作键盘的实例,希望能帮助需要的人。前些时候找的,很难找到有用的,自已得加工改进一下子。-51 Microcontroller an instance of the operation of the keyboard, hoping to help people in need. Some time ago looking for, it is difficult to find useful, self-improvement were processed at once.
Platform: | Size: 3072 | Author: aa | Hits:

[SCMPS2_Keyboard_and_Microcontroller_Communication

Description: 这是PC机上的PS2键盘与单片机通信的程序代码,用来实现单片机遇pc的通信。-This is a PC, the PS2 keyboard to communicate with the MCU program code used to achieve SCM encountered pc communication.
Platform: | Size: 60416 | Author: 罗小平 | Hits:

[Driver DevelopPS2

Description: 这是用中断方式读取PS2键盘,之后通过串口发送给电脑,相对于用循环方式,有更快的优点。-It is used to read PS2 keyboard interrupt, then sent to a computer via serial port, compared with the cycle way, a faster advantages.
Platform: | Size: 28672 | Author: cwd | Hits:

[VHDL-FPGA-Verilogps_2_keyboard

Description: 用VHDL语言编写的ps2键盘程序,可以在de2实验板上运行。初学者适用-VHDL language with the ps2 keyboard program, you can experiment in the de2 board to run. For beginners
Platform: | Size: 1049600 | Author: dingxing | Hits:
« 1 2 3 4 5 67 8 9 10 11 ... 46 »

CodeBus www.codebus.net